CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - 8051 MCU

搜索资源列表

  1. ide-8051

    0下载:
  2. 用8051单片机实现IDE总线 程序简洁易懂-with 8051 MCU IDE Bus procedures easy to read
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:40519
    • 提供者:王强
  1. mcu-reference-case

    0下载:
  2. 8051单片机实验(频率计,交通灯等等)-8051 mcu
  3. 所属分类:Compress-Decompress algrithms

    • 发布日期:2017-04-06
    • 文件大小:283215
    • 提供者:成诚
  1. 8051架构单片机元件库

    0下载:
  2. 8051架构单片机元件库。 Protel一直以来都没有提供Atmel公司8051架构单片机的元件库,电子爱好者和工程师们都得用其它公司8051架构单片机元件库来代替或者自己制作元件库。 根据Atmel公司官方网站(http://www.atmel.com)提供的Datasheet文件制作了一个Atmel公司8051架构单片机元件库。,8051 single-chip architecture libraries. Protel has failed to provide Atmel Corp
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2017-03-22
    • 文件大小:56192
    • 提供者:Shadow
  1. 用8051单片机实现贪吃蛇

    0下载:
  2. 12864贪吃蛇,用8051单片机实现,附带万年历程序,12864 Snake, with 8051 MCU, with calendar program
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2017-03-22
    • 文件大小:290072
    • 提供者:吴思
  1. 8051

    0下载:
  2. alter公司的mcu核,8051ip核,为quartus2设计,其他应该兼容 -alter the company' s mcu nuclear, 8051ip nuclear, for quartus2 design should be compatible with other
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2014-09-20
    • 文件大小:9171342
    • 提供者:cvdsf
  1. 1602lcdmoveC++

    0下载:
  2. xl系列单片机实验仪演示程序 LCD1602 移动显示。 显示方式: 1、LCD第一行显示: ilove 8051 mcu ,LCD第二行显示:13714407535; 2、先将两行字符写入DDRAM中,然后从右至左逐字移出显示。 3、在指定位置停留1.6秒后,闪烁2次,清屏。 4、反复循环上述显示方式。-xl Series Singlechip Experiment LCD1602 Demo Mobile Display. Display: 1, LCD display t
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-04-13
    • 文件大小:2771
    • 提供者:gan
  1. 8051

    0下载:
  2. 单片机实现在的电子琴,简单方便,程序也不复杂-MCU in the organ, is simple and convenient, the program is not complicated
  3. 所属分类:SCM

    • 发布日期:2017-05-09
    • 文件大小:2029859
    • 提供者:chanly
  1. mcu

    0下载:
  2. 8051的Verilog实现,经过FPGA验证的!-Verilog realization of 8051, after FPGA validation!
  3. 所属分类:Other systems

    • 发布日期:2017-04-07
    • 文件大小:105575
    • 提供者:guochao
  1. The_Art_of_C_Programming_of_8051_MCU

    0下载:
  2. 本资源详细讲述了8051单片机的C语言编程。书中给出大量实例和全部源代码,重视设计思路的分析和代码模块化设计。是学习单片机C语言编程的好资源。-This material introduces the C programming of 8051 MCU. It gives lots of applicable examples and all codes.
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-05-21
    • 文件大小:6567442
    • 提供者:张集墨
  1. 8051_sd

    1下载:
  2. 基于8051的sd卡驱动程序 适合8051内核单片机的sd卡读写驱动,基于自带SPI模块的单片机AT89S8253修改的. 可以读写单个块或者多个块. 带spi功能的8051单片机应该都不需要修改. 如果要修改只需修改sdconfig.h 和 sdhal.c文件-8051 based on the sd card driver for 8051 MCU core driver sd card reader, based on its own single-chip SPI mod
  3. 所属分类:SCM

    • 发布日期:2017-04-17
    • 文件大小:24089
    • 提供者:ddh
  1. 8051

    0下载:
  2. This file include many examples to learn 8051 MCU. +Led 7 segments +LCD +matrix button. ....... -This file include many examples to learn 8051 MCU. +Led 7 segments +LCD +matrix button. .......
  3. 所属分类:Document

    • 发布日期:2017-04-06
    • 文件大小:659036
    • 提供者:Hung
  1. 8051

    0下载:
  2. 单片机仿真实验系统由板上仿真器、实验仪、伟福仿真软件、开关电源构成。本实验仪提供强大的逻辑分析、波形输出和程序跟踪功能,可以让学生直观地观察到单片机内部及外部电路工作的波形。实验仪上有丰富的实验电路和灵活的组成方法。这些电路既可以和8031系列、也可以和80C196系列CPU及8088/8086CPU组合完成各种实验。 -MCU simulation system consists of on-board simulator, experimental instrument, Wai Fu si
  3. 所属分类:SCM

    • 发布日期:2017-04-03
    • 文件大小:678985
    • 提供者:余光学
  1. MCU-8051-example

    0下载:
  2. 基于8051单片机的实例程序,可在PROTUES下进行调试,有助于理解8051单片机的工作原理。-Instance based on 8051 program can be debugged PROTUES helped to understand the 8051 works.
  3. 所属分类:SCM

    • 发布日期:2017-03-31
    • 文件大小:333545
    • 提供者:刘杰
  1. digital_clock

    0下载:
  2. 数字电子钟,用8051单片机实现,在七段数码管上可以显示时间,日期等 还可以进行时间日期的调整 -Digital electronic clock, with 8051 MCU, the seven-segment digital tube can display time, date, time, etc. can also be used to adjust the date
  3. 所属分类:SCM

    • 发布日期:2017-04-07
    • 文件大小:2460
    • 提供者:陆树军
  1. sim340-GPRS

    0下载:
  2. 应用SIM340 + 8051 MCU 开发的GPRS 应用的软件,有借鉴作用-SIM340+ 8051 MCU application development GPRS application software, there is reference
  3. 所属分类:SCM

    • 发布日期:2017-03-29
    • 文件大小:2743
    • 提供者:li
  1. GSM_GPRSALTER

    0下载:
  2. 应用SIM300 + 8051 MCU 开发的GSM 报警系统-SIM300+ 8051 MCU application development GSM alarm system
  3. 所属分类:SCM

    • 发布日期:2017-03-31
    • 文件大小:3883
    • 提供者:li
  1. the-verilog-source-code-of-8051-MCU

    0下载:
  2. 8051单片机的源代码,用verilog进行编写,包括测试文件-source code of 8051 MCU
  3. 所属分类:SCM

    • 发布日期:2016-01-22
    • 文件大小:310272
    • 提供者:许伟涛
  1. 8051-MCU-20-demo-code

    0下载:
  2. 8051 MCU 20 demo code
  3. 所属分类:SCM

    • 发布日期:2017-12-01
    • 文件大小:50512
    • 提供者:ZHANG
  1. 8051-MCU

    0下载:
  2. 基于8051单片机的系统设计,系统包含了键盘,spi协议,18B20温度传感器- a design by 8051-MCU ,it s include key, SPI protocol ,18B20 temputure sensor
  3. 所属分类:SCM

    • 发布日期:2017-04-08
    • 文件大小:23252
    • 提供者:liushaogui
  1. 8051-MCU-programing-with-C

    0下载:
  2. 8051单片机C语言编程代码入门到精通,并通过了proteus仿真非常实用。-8051 MCU C programing with examples,pass the proteus simulation。
  3. 所属分类:SCM

    • 发布日期:2017-05-30
    • 文件大小:12123781
    • 提供者:徐光
« 12 3 4 5 6 7 8 9 10 ... 14 »
搜珍网 www.dssz.com